본문 바로가기
정보

[HPSP] 주가 전망, 예스티와 싸움, 독점 지위 깨지나?

by 동키동키 2024. 5. 29.
반응형

 

녕하세요, 동키동키입니다!
오늘은 HPSP의 종목 분석 및 주가 전망에 대해 알아보는 시간을 가져보겠습니다.
 
HPSP는 반도체 열처리 공정에 사용되는 장비를 개발하고 있는 반도체 전공정 단계 장비 제조 기업입니다.

* 반도체는 전공정과 후공정으로 이루어짐
 ** 전공정 : 웨이퍼 가공 공정 단계까지 (웨이퍼 제조, 산화, 포토, 식각, 증착, 이온주입, 검사)
 ** 후공정 : 검사와 패키징 과정 (웨이퍼 절단, 기판부착/성형, 패키징, 패키지테스트, 변인/소켓 테스트)
* 주요 제품은 고압 수소 어닐링 제품으로 반도체 트랜지스터 소자 계면상의 문제 개선을 목표로 만든 제품
 
HPSP는 코스닥 8위의 기업으로 5월 29일을 기준으로 시가총액 3조 6,118억, 상장주식은 82,934,144, 유동주식수 비율은 58.42%입니다.
 

 
기업의 실적을 보면 2021년부터 2023년까지 꾸준히 매출과 영업이익을 개선해오고 있습니다.

긍정적인 매출을 바탕으로 부채비율을 감소시키고, 영업이익율이 50%에 육박하는 높은 수준인 전체적인 흐름은 준수해보입니다.

* 다만 시가 총액이 약 3조에 육박하고 있는 기업의 매출액이 약 1,900억 규모라는점은 다소 아쉽습니다.
 

 
* 전반적으로 준수한 기업실적임에도 올해 2월 15일 고점(63,900원)을 기준으로 계속 우하향하고 있는 모습
 

- HPSP 주가 하락 이유는 왜?

① 매출 및 영업이익 감소 : 전기 대비 매출 27.3%, 영업이익 43.9% 감소

② 소송 리스크(하락 요인) : HPSH가 보유한 고압 수소 어닐링 기술에 대해 '예스티'와 특허심판(소송) 진행(24년 5월 경 결과)

* 특허심판에서 HPSP가 승소할 경우 : 기업 가치 제고 가능
* 특허심판에서 HPSP가 패소할 경우 : 독점체제가 깨지면서 경쟁구도 시작, 가격 경쟁 불가피
** 패소하여 예스티와 시장 경쟁에 들어갈 경우 반도체 웨이퍼를 60% 이상 향상/생산 할 수 있다고 자부하는 예스티의 장비가 시장에서 더욱 눈길을 끌것으로 예상되어 전체 매출의 95%에 달하는 시장을 나눠갖게 될 HPSP 입장에선 이번 소송이 중요한 분수령임

③ 5월 MSCI 편입  : HPSP는 MSCI 매 시기 MSCI 편입 가능성이 제기되었으나 MSCI 편입을 위해선 시가총액 4조 5,000억, 유동시가총액 1조 5,000억원 이상 등을 통과해야 되는것으로 추정하건데 진입이 어려움

* MSCI : 모건 스탠리의 자회사 모건 스탠리 캐피탈 인터내셔널에서 만든 글로벌 주가 지수로 추종하는 자금이 약 15조 달러에 달함. MSCI 지수에 편입 되는것은 국제적으로 인정받는다는 신뢰로서 해석되기 때문에 투자에 대해 긍정적인 영향을 끼침
** MSCI 지수는 매년 2/5/8/11월에 종목의 편입 또는 편출이 이루어짐

- HPSP 생각 해볼만한 점

① 소송 리스크(상승 요인) : 승소의 경우 시장 내 독점 지위를 공고히 할 수 있으므로 소송 리스크로 인해  떨어졌던 주가 반등 가능성

② 높은 기술력 : 전체 매출의 약 75% 비중이 수출인만큼 글로벌 기업들로부터 기술력을 인정받고 있고 반도체 시장은 계속 호황이 예상되고 있음
 

③ 반도체 호황 : 반도체 시장을 선도하는 엔비디아 주가가 최근 1,100달러 수준까지 오른만큼 업황이 호황에 접어들어, 반도체 시장 호황 장기화 기대감에 꾸준한 실적 개선 가능성 기대

반응형